Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması

1 0

Proje Grubu: EEEAG Sayfa Sayısı: 214 Proje No: 107E043 Proje Bitiş Tarihi: 01.07.2009 Metin Dili: Türkçe İndeks Tarihi: 29-07-2022

Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması

Öz:
-
Anahtar Kelime:

Konular: Bilgisayar Bilimleri, Yazılım Mühendisliği
Erişim Türü: Erişime Açık
  • [1] Brooks, D.; Tiwari, V.; Martonosi, M., Wattch: a framework for architecturallevel power analysis and optimizations, Computer Architecture, 2000. Proceedings of the 27th International Symposium on , vol., no., pp. 83-94, 2000
  • [2] David Brooks , Margaret Martonosi, Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance, Proceedings of the 5th International Symposium on High Performance Computer Architecture, p.13, January 09-12, 1999
  • [3] Smith, J.E.; Sohi, G.S., "The microarchitecture of superscalar processors," Proceedings of the IEEE , vol.83, no.12, pp.1609-1624, Dec 1995
  • [4] Yourst, M.T., PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator, Performance Analysis of Systems & Software, 2007. ISPASS 2007. IEEE International Symposium on , vol., no., pp.23-34, 25-27 April 2007
  • [5] V. Zyuban, P. Kogge, “Split Register File Architectures for Inherently Lower Power Microprocessors,” In: Power-Driven Microarchitecture Workshop, in conjunction with ISCA’98, June 1998
  • [6] Ergin, O.; Balkan, D.; Ghose, K.; Ponomarev, D., Register Packing: Exploiting Narrow-Width Operands for Reducing Register File Pressure, Microarchitecture, 2004. MICRO-37 2004. 37th International Symposium on , vol., no., pp. 304- 315, 04-08 Dec. 2004
  • [7] R. M. Tomasulo, „„An Efficient Algorithm for Exploiting Multiple Arithmetic Units,‟‟ IBM Journal of Research and Development, pp. 25-33, January 1967
  • [8] M. Kondo, H. Nakamura, A small, fast and low-power register file by bitpartitioning, Proceedings of the 11th International Symposium on High- Performance Computer Architecture, 2005
  • [9] M.H. Lipasti, B.R. Mestan, E. Gunadi, Physical register inlining, Proceedings of the 31st Annual International Symposium on Computer Architecture, 2004
  • [10] A. Aggarwal, M. Franklin, Energy efficient asymmetrically ported register files, Proceedings of ICCD‟03, 2003
  • [11] G.H. Loh, Exploiting data-width locality to increase superscalar execution bandwidth, Proceedings of the 35th International Symposium on Microarchitecture (MICRO), 2002
  • [12] I. Park, M. Powell, T. Vijaykumar, Reducing register ports for higher speed and lower energy, Proceedings of the International Symposium on Microarchitecture, 2002.
  • [13] O. Ergin, Exploiting narrow values for energy efficiency in the register files of superscalar microprocessors, 16th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS‟06), Lecture Notes in Computer Science (LNCS), Springer-Verlag, 2006
  • [14] J. Hu, S. Wang, S.G. Ziavras, In-register duplication: Exploiting narrowwidth value for improving register file reliability, Proceedings of the International Conference on Dependable Systems and Networks (DSN-2006), Philadelphia, PA, 2006
  • [15] J.L. Cruz, A. Gonzalez, M. Valero, N.P. Topham, Multiple-bankedregister file architectures, Proceedings of the 27th Annual International Symposium on Computer Architecture, 2000
  • [16] J. Tseng, K. Asanovic, Banked multiported register files for highfrequency superscalar microprocessors, 30th International Symposium on Computer Architecture (ISCA-30), San Diego, CA, 2003
  • [17] Shuai Wang; Hongyan Yang; Jie Hu; Ziavras, S.G., "Asymmetrically Banked Value-Aware Register Files," VLSI, 2007. ISVLSI '07. IEEE Computer Society Annual Symposium on , vol., no., pp.363-368, 9-11 March 2007
  • [18] Manne, S.; Klauser, A.; Grunwald, D., "Pipeline gating: speculation control for energy reduction," Computer Architecture, 1998. Proceedings. The 25th Annual International Symposium on , vol., no., pp.132-141, 27 Jun-1 Jul 1998
  • [19] Ponomarev, D.; Kucuk, G.; Ghose, K., "Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources," Microarchitecture, 2001. MICRO-34. Proceedings. 34th ACM/IEEE International Symposium on , vol., no., pp. 90-101, 1-5 Dec. 2001
  • [20] Nalluri, R., Garg, R., and Panda, P. R. 2007. Customization of Register File Banking Architecture for Low Power. In Proceedings of the 20th international Conference on VLSI Design Held Jointly with 6th international Conference: Embedded Systems (January 06 - 10, 2007). VLSID. IEEE Computer Society, Washington, DC, 239-244
  • [21] Saito, T.; Maeda, M.; Hironaka, T.; Tanigawa, K.; Sueyoshi, T.; Aoyama, K.; Koide, T.; Mattausch, H.J., "Design of superscalar processor with multi-bank register file," Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on , vol., no., pp. 3507-3510 Vol. 4, 23-26 May 2005
  • [22] Johnson, W. M. 1989 Super-Scalar Processor Design. Technical Report. UMI Order Number: CSL-TR-89-383., Stanford University.
  • [23] McFarling, S. 1993 Combining Branch Predictors. Technical Note. UMI Order Number: WRL-TN-36, Western Research Laboratory.
  • [24] L. Gwennap, "Intel's P6 Uses Decoupled Superscalar Design," Microprocessor Report, Vol. 9, No. 2, pp. 9-15
  • [25] Villa, L., Zhang, M., and Asanović, K. 2000. Dynamic zero compression for cache energy reduction. In Proceedings of the 33rd Annual ACM/IEEE international Symposium on Microarchitecture (Monterey, California, United States). MICRO 33. ACM, New York, NY, 214-220
  • [26] Shadi T. Khasawneh, Kanad Ghose, An Adaptive Technique for Reducing Leakage and Dynamic Power in Register Files and Reorder Buffers, Lecture Notes in Computer Science, Volume 3728, Aug 2005, Pages 498 – 507 (PATMOS 2005)
  • [27] “List of NP complete problems” http://en.wikipedia.org/wiki/List_of_NPcomplete_ problems eriĢim tarihi: 13.07.2009
  • [28] “Virtuoso Spectre Circuit Simulator” http://www.cadence.com/products/cic/spectre_circuit/pages/default.aspx eriĢim tarihi: 13.07.2009
  • [29] Patterson, D. A. and Hennessy, J. L. 1990 Computer Architecture: a Quantitative Approach. Morgan Kaufmann Publishers Inc.
APA ERGİN O (2009). Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. , 1 - 214.
Chicago ERGİN Oğuz Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. (2009): 1 - 214.
MLA ERGİN Oğuz Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. , 2009, ss.1 - 214.
AMA ERGİN O Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. . 2009; 1 - 214.
Vancouver ERGİN O Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. . 2009; 1 - 214.
IEEE ERGİN O "Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması." , ss.1 - 214, 2009.
ISNAD ERGİN, Oğuz. "Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması". (2009), 1-214.
APA ERGİN O (2009). Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. , 1 - 214.
Chicago ERGİN Oğuz Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. (2009): 1 - 214.
MLA ERGİN Oğuz Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. , 2009, ss.1 - 214.
AMA ERGİN O Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. . 2009; 1 - 214.
Vancouver ERGİN O Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması. . 2009; 1 - 214.
IEEE ERGİN O "Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması." , ss.1 - 214, 2009.
ISNAD ERGİN, Oğuz. "Sırasız yürütüm yapan mikroişlemcilerde çalıştırılan programlardaki dar değerlerin ve bu değerlerin üretildiği evrelerin belirlenip işlemcinin güç tüketiminin azaltılması amacıyla kullanılması". (2009), 1-214.